La lithographie en micro électronique

Télécharger le fichier pdf d’un mémoire de fin d’études

La lithographie optique par projection
Principe
Un outil de lithographie optique par projection est composé d’une source d’illumination, d’un masque (ou réticule) contenant le dessin du circuit intégré et d’un système optique de projection qui fait l’image réduite de ce masque au niveau de la résine photosensible d´epos´ee sur le substrat. Lors de l’insolation, la r´esine est modifi´ee chimiquement l`a o`u elle a re¸cu de la lumi`ere. Elle subit ensuite une phase de recuit suivie d’une ´etape de d´eveloppement. Dans le cas des r´esines dites « po-sitives », c’est la partie insol´ee qui est retir´ee. Il existe ´egalement des r´esines dites « n´egatives » pour lesquelles la partie non insol´ee est dissoute dans le d´eveloppeur. La r´esine est alors structur´ee selon les motifs du masque : c’est ce qui va d´efinir le futur dispositif. Les motifs ainsi d´efinis peuvent alors ˆetre transf´er´es dans le mat´eriau sous-jacent lors d’une ´etape de gravure. La r´esine restant apr`es d´eveloppement sert de masque de gravure : les zones prot´eg´ees par la r´esine ne seront pas grav´ees. L’ensemble de ces ´etapes est sch´ematis´ sur la figure 1.5.

Le syst`eme optique

La figure 1.6 sch´ematise les diff´erents el´ements d’un outil de lithographie optique par projection qui seront d´etaill´es dans les parties suivantes : l’illumination compos´ee d’une source et d’un condenseur, le masque qui diffracte la lumi`ere incidente et l’optique de projection qui image le masque sur la plaquette.

L’illumination

Elle est constitu´ee d’une source et d’un condenseur. La source doit ˆetre puissante afin d’assurer un d´ebit suffisant. Elle doit ´egalement fonctionner a` des longueurs d’onde compatibles avec la photosensibilit´ des r´esines et doit par ailleurs ˆetre quasi-monochromatique car les optiques de bonne qualit´e ne peuvent ˆetre fabriqu´ees que sur un domaine spectral tr`es ´etroit. Afin d’augmenter les performances des outils de lithographie, notamment la r´esolution comme nous le verrons dans la suite de ce chapitre, il est n´ecessaire de diminuer la longueur d’onde des sources. Afin de satisfaire ces crit`eres, on est donc pass´e successivement de lampes a` vapeur de mercure (g-line a` 436 nm, h-line a` 405 nm et i-line a` 365 nm) aux lasers ´emettant dans l’ultraviolet puis dans l’ultraviolet profond a` 248 nm et 193 nm aujourd’hui. La source est suivie d’un condenseur constitu´e d’un ensemble de lentilles, miroirs, filtres et autres el´ements optiques. Son rˆole est de collecter et filtrer la lumi`ere provenant de la source et de la focaliser au niveau de la pupille d’entr´ee de l’optique de projection (figure 1.6). Ce type d’illumination dite de « Kohler¨ » a la particularit´e de projeter la source au niveau de la lentille de projection et non au niveau du masque comme c’est le cas pour une illumination de type Abbe ou critique. Cela permet d’assurer une bonne uniformit´e d’´eclairement du masque. Nous verrons par la suite que dans un tel syst`eme de lithographie par projection, la g´eom´etrie de l’illumination peut ˆetre modul´ee (g´eom´etrie circulaire, annulaire, dipˆolaire,. . . ) afin d’am´eliorer les performances d’imagerie. C’est le concept de coh´erence partielle, tr`es utilis´e en lithographie, qui rentre dans le processus de formation d’image (cf paragraphe II.A.2).

Le masque (ou réticule)

Le masque est un composant critique de l’outil lithographique car les motifs qui y sont d´efinis seront reproduits sur la plaquette. La qualit´e du circuit imprim´e d´epend donc directement de la qualit´e du masque en terme de contrˆole de dimensions, de plan´eit´e, de pr´ecision du dessin et de contrˆole des d´efauts. La fabrication des masques est donc un aspect important de la technologie. En t´emoignent les besoins exprim´es par la feuille de route internationale des technologies semi-conducteurs pour les masques [3] qui pr´evoit par exemple pour le nœud technologique 32 nm (en 2013) la n´ecessit´ de contrˆoler l’uniformit´e du CD7 `a 1 nm (3 σ) et de minimiser la taille des d´efauts pour qu’ils ne d´epassent pas la vingtaine de nanom`etres. De plus, le dessin des motifs du masque se complexifie `a mesure qu’on approche la limite de diffraction. En effet, aujourd’hui, afin d’am´eliorer les performances lithographiques, on effectue des corrections optiques de proximit´e ou OPC8 en optimisant la forme des motifs sur le masque. Comme nous le verrons par la suite, cela s’inscrit dans un ensemble de techniques d’am´elioration de r´esolution ou RET9 (cf II.B.4). Aussi le prix de revient d’un masque devient un param`etre important qu’on ne peut n´egliger dans le coˆut total d’un outil de lithographie. Ceci est d’autant plus vrai que la conception d’un circuit int´egr´ n´ecessite autant de masques qu’il y a de niveaux. C’est pourquoi, aujourd’hui, beaucoup d’efforts sont faits pour d´evelopper des techniques de lithographie sans masque (cf III.A).
Le type de masque le plus simple utilis´e en lithographie est le masque binaire. Il est fait d’un substrat transparent `a la longueur d’onde d’exposition, classiquement un substrat de silice fondue pour les longueurs d’onde 248 et 193 nm. Les motifs sont d´efinis en chrome, mat´eriau opaque `a ces longueurs d’onde. L’ensemble est donc soit transparent soit opaque, d’o`u le nom de binaire. C’est un masque d’amplitude, c’est-`a-dire qu’il ne joue que sur l’amplitude de l’onde qui le traverse. Ainsi l’amplitude du champ ´electrique qui traverse la silice est inchang´ee alors que l’amplitude du champ ´electrique qui traverse le chrome est nulle `a la sortie du masque. Il existe un autre type de masque qui fait intervenir `a la fois l’amplitude et la phase de l’onde dans la formation de l’image : le masque `a d´ecalage de phase ou PSM10. Ce type de masque a et´ introduit en 1982 dans le but d’am´eliorer les performances lithographiques [5]. De la mˆeme fa¸con que pour le masque binaire, les motifs du masque `a d´ecalage de phase sont faits en chrome sur un substrat transparent de silice fondue. Dans le cas d’un masque PSM, on introduit un mat´eriau qui a pour but de d´ephaser l’onde qui va le traverser. Il existe deux types de masques `a d´ecalage de phase : le masque `a d´ecalage de phase altern´ pour lequel il y a alternance entre le mat´eriau d´ephaseur et le chrome et le masque `a d´ecalage de phase att´enu´ dans quel cas le motif est fait de sorte `a att´enuer l’amplitude et d´ephaser l’onde qui le traverse. Ce dernier est aujourd’hui classiquement utilis´e comme RET. Nous verrons par la suite comment ce type de masque joue sur les performances lithographiques.
L’optique de projection
La lithographie par projection est apparue dans les ann´ees 70 avec le d´eveloppement de lentilles r´efractives performantes, c’est-a`-dire dont tous les ´el´ements optiques fonctionnent en transmission. Auparavant, l’imagerie se faisait par contact ou par proximit´e avec des masques a` l’´echelle 1. La lithographie par projection a permis d’introduire le facteur de r´eduction, aujourd’hui typiquement de 4. Il est int´eressant d’avoir un facteur de r´eduction sup´erieur `a 1 car il n’est alors plus n´ecessaire d’avoir les motifs du masque de la mˆeme taille que les motifs imprim´es ce qui relˆache des contraintes sur la fabrication des masques. Depuis leur apparition, les optiques de projection se sont beaucoup complexifi´ees dans le but d’am´eliorer leurs performances tout en augmentant leur ouverture num´e-rique : elles sont aujourd’hui compos´ees de plus de 40 el´ments et peuvent faire jusqu’`a un m`etre de haut pour un poids de l’ordre de 500 kg. En effet, tout comme la longueur d’onde, l’ouverture num´erique de l’optique de projection est un param`etre important qui, comme nous le verrons plus tard, conditionne la r´esolution de l’outil lithographique.
Introduisons ici le concept d’ouverture num´erique. L’ouverture num´erique d’une lentille ou d’un syst`eme d’imagerie est d´efinie par : N A = nsinθmax (1.1) o`u n est l’indice du milieu cˆot´e image ou objet et θmax le demi angle maximal du cˆone de lumi`ere cˆot´e image ou objet selon que l’on consid`ere l’ouverture num´erique cˆot´e image ou objet comme sch´ematis´ sur la figure 1.7. En effet, une optique a deux ouvertures num´eriques li´ees entre elles par le grandissement de la lentille : l’une cˆot´e image et l’autre cˆot´e objet.
Quand la lentille est dans l’air, d’apr`es la relation 1.1, son ouverture num´erique est uniquement fix´ee par son angle de collection donc son diam`etre. Or c’est un v´eritable d´efi technologique pour les opticiens de fabriquer de grandes lentilles de qualit´e, c’est-a`-dire sans aberrations et transparentes aux longueurs d’onde d’illumination. Beaucoup de progr`es ont et´ faits dans ce domaine et il est maintenant possible de trouver des lentilles tr`es performantes a` tr`es haute ouverture num´erique (typiquement sup´erieure a` 0,8). Nous verrons dans le chapitre suivant que l’apparition de la litho-graphie a` immersion a motiv´e le d´eveloppement de lentilles encore plus complexes et r´efractives11 a` tr`es haute ouverture num´erique.
La projection par répétition et la projection par répétition et balayage
Une plaquette de 200 mm de diamètre contient généralement environ soixante-dix champs d’ex-position12 qui correspondent chacun a` l’image du masque. Pour couvrir une plaquette enti`ere, il est n´ecessaire de r´ealiser plusieurs fois la photo du masque. On parle alors de projection par r´ep´-tition. Il existe deux types d’outil lithographique pouvant r´ealiser cette ´etape. Le premier, connu sous le nom de photor´ep´eteur ou « stepper », reproduit l’image r´eduite du masque sur le champ.
La plaquette est ensuite d´eplac´ee dans deux directions pour exposer les autres champs. Le second, appel´ « scanner », est apparu ult´erieurement. C’est cette technique qui est utilis´ee aujourd’hui. Avec ce type d’outil, l’image du masque est projet´ee au travers d’une fente au cours du balayage synchrone du masque et du substrat. Elle permet l’obtention de champs de grande dimension dans la direction du balayage sans qu’un changement du syst`eme optique soit n´ecessaire [6]. N´eanmoins ce syst`eme induit certaines difficult´es telles que des vibrations et des probl`emes de synchronisme entre le masque et la plaquette.
La formation de l’image
Pour comprendre le ph´enom`ene d’imagerie par un outil de lithographie, nous pouvons utiliser dans un premier temps une approche plus simple en consid´erant le syst`eme sch´ematis´ sur la figure 1.8 compos´e d’un condenseur et d’un r´eticule mod´elis´ par un r´eseau de diffraction. Cette approche pourra ˆetre g´en´eralis´ee dans le cas d’un masque en rempla¸cant les ordres de diffraction du r´eseau par les composantes de Fourier de la diffraction du masque. i m=+1 m m=0  m=-1
Une onde plane monochromatique provenant du condenseur est diffract´ee par le r´eseau en m ordres se propageant dans les directions d´efinies par les angles θm selon : λ , m = 0, ±1, ±2, . . . sinθm − sinθi = m. p(1.2)
O`u m est l’ordre de diffraction, θm l’angle de diffraction, θi l’angle incident sur le r´eseau, λ la longueur d’onde et p le pas du r´eseau. Chaque ordre correspond a` une fr´equence spatiale sp´ecifique dans le plan de Fourier. Les ordres de diffraction vont se superposer et interf´erer au niveau du plan image o`u est plac´ee la plaquette, pour former l’image du masque. C’est ce ph´enom`ene de double diffraction, l’une au niveau du masque et l’autre au niveau de l’optique de projection, qui va reconstituer l’image du masque au niveau du plan image avec un certain grandissement fix´e par l’optique de projection. Le masque ne peut cependant pas ˆetre reproduit a` l’identique sur la plaquette car du fait de l’ouverture num´erique limit´ee de la lentille de projection, tous les ordres de diffraction ne sont pas capt´es : cette lentille de projection filtre spatialement les fr´equences de l’image. Ernst Abbe (1840-1905), lors de ses travaux sur la microscopie optique, a d´ecouvert qu’une grande ouverture d’un syst`eme d’imagerie r´esultait en une meilleure r´esolution [7]. Ainsi ce sont les ordres de diffraction les plus grands qui contiennent l’information sur les d´etails de l’image. C’est donc l’ouverture num´erique finie du syst`eme qui, en captant seulement les plus petites fr´equences spatiales, limite le pouvoir de r´esolution de l’outil lithographique. De plus, nous savons que pour former une image, il faut qu’il y ait interf´erence d’un minimum de deux ordres. La limite de r´esolution s’exprime par la capacit´e du syst`eme a` capter les ordres de diffraction 0 et 1. Dans le cas le plus simple o`u le masque est eclair´ sous incidence normale (figure 1.9, sch´ema de gauche), chaque ordre de diffraction est un pic de Dirac dans le plan de Fourier. La r´esolution limite s’exprime donc par la valeur de p, p´eriode du motif sur le masque, permettant aux ordres −1, 0 et 1 de passer a` travers le filtre spatial qu’est la lentille. Elle s’exprime donc par : λ Plim = N A(1.3)
Nous verrons par la suite que cette expression peut ˆetre g´en´eralis´ee pour exprimer la r´esolution d’un outil de lithographie en tenant compte des param`etres de l’outil tels que le type de masque, l’illumination et la r´esine.
Dans le cas o`u le masque est eclair´ sous incidence oblique (figure 1.9, sch´ema de droite), les ordres de diffraction dans le plan de Fourier sont translat´es. Il est ´evident que cela va beaucoup impacter sur l’image form´ee. Selon la p´eriode du motif, cela sera parfois int´eressant car des ordres suppl´ementaires peuvent entrer dans la pupille mais dans d’autres cas, l’image peut ˆetre d´egrad´ee car des ordres seront coup´es par la lentille. Ce type d’illumination dite illumination « hors-axe » ou OAI13 est tr`es utilis´e comme technique d’am´elioration de r´esolution, comme nous le verrons par la suite. Dans la pratique, ce type d’illumination est obtenu en modifiant la g´eom´etrie de la source, par exemple en d´esaxant la source par rapport au reste du syst`eme optique. On parle en lithographie de coh´erence partielle. Nous verrons par la suite les diff´erents types de coh´erences partielles rencontr´ees en lithographie ainsi que leur influence sur les performances d’imagerie.
Le syst`eme d’imagerie d’un outil de lithographie optique par projection fonctionne de telle fa¸con que la diffraction au niveau du masque forme la transform´ee de Fourier du masque et que la lentille de projection forme une nouvelle transform´ee de Fourier de la figure de diffraction recr´eant ainsi l’image invers´ee du masque sur la plaquette. Cependant, du fait de la dimension finie de la lentille, seule une partie de la figure de diffraction entre dans la lentille et les motifs du masque ne sont pas reproduits a` l’identique. Dans le cas extrˆeme, seuls deux ou trois ordres (si l’ordre 0 est pr´esent) participent a` la formation de l’image et l’image a´erienne14 d’un masque a` motifs rectangulaires est une sinuso¨ıde (figure 1.10). L’utilisation de r´esines dites « a` seuil » telles que les r´esines a` amplification chimique est indispensable pour compenser ces limitations optiques. Le seuillage permet de reconstituer les motifs du masque comme sch´ematis´ sur la figure 1.10. Le fonctionnement d´etaill´ d’une telle r´esine est expliqu´ dans la partie suivante.
L’empilement lithographique
L’empilement lithographique est g´en´eralement constitu´e de deux couches : la couche anti-r´efl´echissante et la r´esine. Il est possible d’ajouter une couche barri`ere pour certaines applications.
Les couches anti-r´efl´echissantes :
Cette couche anti-reflet, aussi appel´ee BARC15, est le plus souvent un polym`ere organique qui a pour but de minimiser la r´eflectivit´ de l’empilement sous-jacent. En effet, la r´eflectivit´ du silicium dans l’ultraviolet est forte. Aussi, la lumi`ere qui traverse la r´esine pendant l’insolation est r´efl´echie et va interf´erer avec la lumi`ere incidente cr´eant ainsi des ondes stationnaires dans l’´epaisseur de r´esine qui vont d´egrader le profil des motifs [8]. De plus, cette couche a un rˆole de promoteur d’adh´erence qui favorise l’adh´esion de la r´esine sur les substrats de silicium, d’oxyde de silicium ou encore de nitrure de silicium pendant les ´etapes de d´eveloppement et de gravure. En l’absence de BARC, cela est habituellement fait avec de l’hexamethyldisilazane (HMDS) [9].
Les r´esines :
Ce sont des polym`eres organiques photosensibles a` la radiation de l’outil d’insolation. Pendant l’´etape de lithographie, elles subissent successivement :
– l’´etalement sur le substrat par centrifugation. C’est la vitesse de rotation qui d´etermine l’´epaisseur du film.
– le recuit apr`es ´etalement ou PAB16 qui a pour but de faire ´evaporer le solvant contenu dans la r´esine et de compacter le film.
– l’exposition.
– le recuit apr`es exposition ou PEB17 qui a pour but, comme nous le verrons, de d´eclencher la r´eaction de d´eprotection pour les r´esines dites « a` amplification chimique ». Il est ´egalement utilis´e pour r´eduire les ondes stationnaires et ceci quel que soit le type de r´esine.
– le d´eveloppement r´ealis´ dans une solution aqueuse basique.
Toutes les r´esines sont principalement compos´ees d’une matrice polym`ere, d’un compos´e photo-acide et d’un solvant qui joue sur la viscosit´e de la r´esine. Il faut distinguer deux cat´egories de r´esines qui ont des fonctionnements et des chimies diff´erents :
– Les r´esines dites « novolaques » qui contiennent un polym`ere novolaque soluble dans les milieux aqueux et basiques (NAOH, KOH, TMAH) ainsi qu’un compos´e photosensible, le diazionaptho-quinone (DNQ) insoluble dans ces milieux. Le m´elange est donc tr`es peu soluble a` l’´etat naturel. Cependant apr`es exposition a` une longueur d’onde situ´ee entre 300 et 450 nm, le DNQ produit, apr`es plusieurs r´eactions interm´ediaires, un compos´e acide qui sera soluble en milieu basique. La r´esine expos´ee sera donc r´ev´el´ee par le d´eveloppeur [10]. Ce type de r´esine ´etait utilis´e pour les g´en´erations de scanners g-line et i-line.
– Les r´esines DUV18  a` catalyseur acide. Avec l’arriv´ee des sources ´emettant dans l’ultraviolet pro-fond, il a fallu d´evelopper des r´esines beaucoup plus sensibles et moins absorbantes que celles d´ej`a existantes. On a donc invent´ le concept de « r´esines a` amplification chimique » [11]. Ces r´esines contiennent une matrice polym`ere, des groupes protecteurs qui empˆechent la dissolution du polym`ere non expos´ dans le d´eveloppeur et un compos´e photosensible, le PAG19. Au cours de l’exposition, le PAG produit un acide qui, sous mont´ee en temp´erature durant une phase de recuit, diffuse et peut d´etacher le groupement protecteur de la matrice polym`ere, ce qui rend le polym`ere soluble dans un d´eveloppeur basique aqueux. On parle alors de r´eaction de d´eprotection. Elle est sch´ematis´ee sur la figure 1.11 [12].
Cette r´eaction est dite « catalytique » car l’acide se r´eg´en`ere apr`es r´eaction et peut alors pour-suivre la d´eprotection d’autres groupes protecteurs. Grˆace `a un recuit prolong´e, un acide est capable de diffuser et de d´etacher un grand nombre de groupements protecteurs. Afin d’avoir de bonnes performances lithographiques et une bonne r´esistance `a la gravure, ces r´esines doivent r´epondre `a certains crit`eres en terme de transparence, de r´esistance `a la gravure et d’adh´esion au substrat. C’est pourquoi elles sont compos´ees de diff´erents groupes fonctionnels qui r´epondront s´epar´ement `a ces crit`eres. Ce type de r´esine a le grand avantage par rapport aux r´esines novo-laques d’ˆetre plus sensible et d’avoir un meilleur contraste. En effet, une r´esine est caract´eris´ee par sa courbe de contraste qui repr´esente l’´epaisseur de r´esine restant apr`es exposition en fonction de l’´energie d’exposition [12]. Un exemple d’une telle courbe est sch´ematis´ sur la figure 1.12.

Le rapport de stage ou le pfe est un document d’analyse, de synthèse et d’évaluation de votre apprentissage, c’est pour cela chatpfe.com propose le téléchargement des modèles complet de projet de fin d’étude, rapport de stage, mémoire, pfe, thèse, pour connaître la méthodologie à avoir et savoir comment construire les parties d’un projet de fin d’étude.

Table des matières

Table des figures
Liste des tableaux
Introduction
Chapitre 1 La lithographie en micro électronique : généralités 
Introduction
I Les enjeux de la lithographie en micro´electronique
II La lithographie optique par projection
A Principe
B Am´elioration de la r´esolution et contrˆole des proc´ed´es lithographiques
III Les lithographies de « nouvelle g´en´eration »
A La lithographie sans masque
B La lithographie extrˆeme ultraviolet
C La nanoimpression
Conclusion
Chapitre 2 La lithographie `a immersion `a 193 nm : b´en´efices et challenges de la technique 
Introduction
I Historique
II Am´elioration des performances lithographiques en immersion
A B´en´efices de la technique
B V´erification par des simulations
III Etat de l’art et challenges technologiques
A Design des lentilles et syst`emes de distribution d’eau
B Les fluides pour l’immersion
C Les d´efauts introduits par le fluide d’immersion
D Les r´esines pour l’immersion
Conclusion
Chapitre 3 Mod´elisation et conception d’un interf´erom`etre `a immersion `a 193 nm 
Introduction
I La lithographie interf´erom´etrique `a immersion
A La lithographie interf´erom´etrique
B Le contraste en interf´erom´etrie
C La lithographie interf´erom´etrique `a immersion : ´etat de l’art
II Conception du montage interf´erom´etrique achromatique
A La lithographie interf´erom´etrique achromatique
B Double diffraction
C Analyse de l’achromaticit´e
III D´etermination des param`etres des r´eseaux de diffraction
A Design du montage
B Efficacit´es de diffraction
Conclusion
Chapitre 4 R´ealisation et caract´erisation du montage interf´erom´etrique 
Introduction
I Fabrication des r´eseaux de diffraction
A Les challenges technologiques
B Technique de fabrication d´evelopp´ee au laboratoire
II Description et caract´erisation du montage interf´erom´etrique
A La source
B Les r´eseaux
C Le montage
Conclusion
Chapitre 5 Etude de l’imagerie `a haute ouverture num´erique 
Introduction
I Etude de la r´esolution
A Proc´edure exp´erimentale
B R´esultats exp´erimentaux
C Contraste et latitude d’exposition
D Super-r´esolution
II Effet de la polarisation de la lumi`ere `a haute ouverture num´erique
A Le contraste en lumi`ere polaris´ee
B Influence du contraste sur la rugosit´e `a tr`es haute ouverture num´erique
Conclusion
Conclusion
Annexe A Th´eorie de la polarisation en optique
Annexe B Calcul d’efficacit´es de diffraction
Annexe C Outils de caract´erisation et m´etrologie
Bibliographie

Télécharger le rapport complet

Télécharger aussi :

Laisser un commentaire

Votre adresse e-mail ne sera pas publiée. Les champs obligatoires sont indiqués avec *